PROJ = ring all: $(PROJ).rpt $(PROJ).bin $(PROJ).json: $(PROJ).v yosys -ql $(PROJ).yslog -p 'synth_ice40 -top top -json $@' $< $(PROJ).asc: $(PROJ).json icebreaker.pcf nextpnr-ice40 -ql $(PROJ).nplog --up5k --package sg48 --freq 39.75 --asc $@ --pcf icebreaker.pcf --json $< $(PROJ).bin: $(PROJ).asc icepack $< $@ $(PROJ).rpt: $(PROJ).asc icetime -d up5k -c 39.75 -mtr $@ $< prog: $(PROJ).bin iceprog $< sudo-prog: $(PROJ).bin @echo 'Executing prog as root!!!' sudo iceprog $< clean: rm -f $(PROJ).yslog $(PROJ).nplog $(PROJ).json $(PROJ).asc $(PROJ).rpt $(PROJ).bin rm -f $(PROJ)_tb $(PROJ)_tb.vcd $(PROJ)_syn.v $(PROJ)_syntb $(PROJ)_syntb.vcd .SECONDARY: .PHONY: all prog clean